Press "Enter" to skip to content

Intel Reveals New 288-Core Sierra Forest CPU, Core Ultra Processors at Intel Innovation 2023

Plus, Intel makes progress on its plan to revolutionize manufacturing with the 18A process node slated for 2024.

Intel Core Ultra Processors being assembled in Penang, Malaysia. Image: Intel

Intel announced advancements in Core Ultra processors, an E-core processor with 288 cores, the 5th Gen Intel Xeon, AI development on Intel Developer Cloud and more at Intel Innovation in San Jose, California on Tuesday, September 19. Intel is working toward its five-nodes-in-four-years plan, with a roadmap of new processors and manufacturing techniques projected out to 2025.

Jump to:

New Xeon processors include 288-core chip

Intel previewed the 5th Gen Intel Xeon processors (Figure A), which will be available on December 14. The 5th generation will include accelerated performance; for example, the Sierra Forest CPU hit benchmarks of 2.5x better rack density and 2.4x higher performance per watt compared to the 4th Gen Xeon.

Figure A

Intel CEO Pat Gelsinger holds up an example of the 5th Gen Xeon processor family known by the code name Emerald Rapids at Intel Innovation 2023.
Intel CEO Pat Gelsinger holds up an example of the 5th Gen Xeon processor family known by the code name Emerald Rapids at Intel Innovation 2023. Image: TechRepublic

Granite Rapids, the next processor on the roadmap after Sierra Forest, is optimized for AI workloads, with 2x to 3x performance compared to 4th Gen Xeon, according to Intel’s projections. One Sierra Forest variant holds a remarkable 288 cores and 12 channels of memory. The furthest point on the roadmap is the E-core Xeon code-named Clearwater Forest, which will run on the Intel 18A process node, arriving in 2025.

AI supercomputer built on Intel hardware

Xeon processors will be central to a large AI supercomputer built on Intel Xeon processors and Intel Gaudi2 AI hardware accelerators; Gelsinger calls it the “largest supercomputer in Europe.” Its primary customer will be multimedia generative AI firm Stability AI, the maker of Stable Diffusion.

Intel Developer Cloud is generally available

Starting Sept. 19, Intel Developer Cloud has moved from limited release to general availability. This platform is for AI development, training, model optimization and inference; it also lets developers get hands-on with Intel Gaudi2, 5th Gen Xeon processors and the Data Center GPU Max Series 1100 and 1550. Developers can access an API toolkit within Intel Developer Cloud.

The platform is an easy path to Intel-optimized hardware, software and AI from a developer’s own PC, including up-and-coming hardware and software, said Intel CEO Pat Gelsinger at the Sept. 19 keynote at Intel Innovation. “By the time the hardware is available in volume, you’ve already been working on it for months (or) years,” he said.

Intel Developer Cloud is available in three tiers: free, premium and enterprise. More information on pricing and a full list of features can be found here.

Intel Core Ultra processors and the age of the “AI PC”

Intel’s Core Ultra processors, previously known as the code name Meteor Lake, were at the heart of many of the technologies discussed during the presentation. Core Ultra Processors contain Intel’s first integrated neural processing unit. The NPU is an AI-optimized accelerator and inference performed on the PC, providing for power-efficient AI acceleration and local inference on the PC; put another way, it allows applications to take advantage of AI performance offline.

SEE: Intel wants to solve the AI computing skills gap (TechRepublic)

Core Ultra came about due to several of Intel’s hardware advancements, namely the Foveros packaging technology manufacturing technique and the Intel 4 process node with 3D high-performance hybrid architecture.

Acer CEO Jerry Kao demonstrated Intel Core Ultra in an upcoming Acer laptop using Intel-developed AI libraries and the Intel-led open source AI toolkit OpenVINO.

“We see the AI PC as a sea change moment in tech innovation,” Gelsinger said.

Microsoft is using Core Ultra in its Windows 11 PC and plans to use its AI capabilities in upcoming Copilot features.

Core Ultra is exciting because it brings a CPU, GPU and NPU all together, said Gelsinger. “Our NPU will enable AI developers to take advantage of the standard software and framework for AI development and hugely expand the applications for edge deployment,” he said.

Intel Core Ultra will be available starting Dec. 14, 2023.

Advancements in manufacturing and chiplets

Intel’s five-manufacturing-nodes-in-four-years process development program is progressing rapidly, Gelsinger revealed. Each manufacturing node defines a certain semiconductor manufacturing process. The five nodes in the program are referred to as 7, 4, 3, 20A and 18A.

In particular, Gelsinger showed an Arrow Lake processor based on the 20A node. This node is remarkable because it could push Intel ahead of its competitor, TSMC, in terms of rapidly developing new chipmaking techniques and technology.

The next and last node in the program, 18A, is on track for the second half of 2024.

Update to the Universal Chiplet Interconnect Express specification

Last year, Intel announced the founding of the Universal Chiplet Interconnect Express, an industry specification consortium for next-generation chiplets. The group now has about 120 members and has produced its first test chips, code named Pike Creek. On September 19, Intel demonstrated a novel multi-chiplet package based on UCle interconnects.

Source: TechRepublic